CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog encoder

搜索资源列表

  1. RS encoder(Verilog)

    2下载:
  2. RS编码的源代码使用Verilog在Xinloinx平台-RS coding using the source code in Verilog Xinloinx platform
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:5100
    • 提供者:王锋
  1. verilog LDPC encoder

    5下载:
  2. 码长1536 扩展因子64的 WIMAX的LDPC 编码器,支持5/6,2/3,3/4,3个码率,需要在顶层做参数修改
  3. 所属分类:交通/航空行业

    • 发布日期:2012-03-19
    • 文件大小:225376
    • 提供者:mariojiang
  1. 8ENCODE

    0下载:
  2. 8位优先编码器 verilog CPLD EPM1270 源代码-8-bit priority encoder verilog CPLDEPM1270 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:112627
    • 提供者:韩思贤
  1. jpeg

    1下载:
  2. JPEG encoder in Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:42127
    • 提供者:megkel
  1. rs_enc

    0下载:
  2. Verilog code for RS-(255,239) encoder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3512
    • 提供者:sharat
  1. verilog

    0下载:
  2. Verilog jpec coder encoder source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:283640
    • 提供者:Martin
  1. viterbi

    0下载:
  2. viterbi encoder and decoder modeling verilog
  3. 所属分类:Windows Mobile

    • 发布日期:2017-04-07
    • 文件大小:6186
    • 提供者:glory
  1. reedsolomon

    0下载:
  2. reed solomon encoder synthesis and simulation is done using verilog and working fine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1126446
    • 提供者:priya
  1. 4x2_priorityencoder

    0下载:
  2. verilog code for priority encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7158
    • 提供者:sandeep
  1. conv_vhdl

    0下载:
  2. 用Verilog实现卷积码(2,1,2)的编码器,采用状态机来完成在modelsim下的仿真-Verilog implementation using convolution code (2,1,2) encoder, using a state machine to complete the modelsim simulation under the
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:568
    • 提供者:吴雪
  1. 11FIRfliter

    0下载:
  2. 11阶FIR滤波器和(7,4)编码器的Verilog语言,高手的作品,放心下-11-order FIR filter, and (7,4) encoder of the Verilog language, master' s works, rest assured that the next
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1718
    • 提供者:王刚
  1. BasedontheHDB3encoderverilogimplementation

    0下载:
  2. 基于verilog的HDB3编码器的实现-Based on the HDB3 encoder verilog implementation
  3. 所属分类:Communication

    • 发布日期:2017-03-25
    • 文件大小:1459
    • 提供者:wave
  1. rsencoder_latest.tar

    0下载:
  2. reed solomon encoder (255,239) verilog source code
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:3963
    • 提供者:梅国强
  1. viterbi

    1下载:
  2. verilog code for viterbi encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:13479
    • 提供者:kamran
  1. my_code

    0下载:
  2. 编码器和译码器,Verilog实现,有具体实验说明文档。-Encoder and decoder, Verilog realization of a specific experiment documentation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1627691
    • 提供者:mypudn0001
  1. hdb3_codedecode

    1下载:
  2. 用VERILOG实现的,hdb3编码器和解码器,经过前仿真和后仿真成功-Achieved with the VERILOG, hdb3 encoder and decoder, after a successful pre-simulation and post simulation
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-05
    • 文件大小:434978
    • 提供者:Along
  1. verilog-encoder

    2下载:
  2. JPEG的編碼器 使用VERILOG以硬體實現 也使用MODEL模擬驗證-JPEG encoder using the VERILOG hardware implementation is also used to simulate authentication MODEL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:24888
    • 提供者:林曉彬
  1. anc dec

    0下载:
  2. encoder,decoder,testbench and run files
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:27648
    • 提供者:Gops
  1. Program of 4 to 2 Encoder

    0下载:
  2. Verilog code for encoder
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:9216
    • 提供者:maz1
  1. rs_15_11

    2下载:
  2. ReedSolomon RS(15,11) Verilog 编码和解码测试程序 编码有两种实现方式 串行和并行方式(ReedSolomon RS(15,11) Verilog Encoder&Decoder)
  3. 所属分类:通讯编程

    • 发布日期:2018-04-21
    • 文件大小:14336
    • 提供者:Ericxgj
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com